例として加算演算子についてみてみます.
signal LOP : std_logic_vector( 7 downto 0 ); signal ROP : std_logic_vector( 7 downto 0 ); signal SUM : std_logic_vector( 7 downto 0 ); signal TMP : std_logic_vector( 8 downto 0 ); signal CY : std_logic; ... TMP <= ( '0' & LOP ) + ( '0' & ROP ); SUM <= TMP( 7 downto 0); CY <= TMP( 8 );